请在 下方输入 要搜索的题目:

补全以下D触发器VHDL程序。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY dff IS PORT(CLK:IN STD_LOGIC; D:IN STD_LOGIC; Q:OUT STD_LOGIC);END dff;ARCHITECTURE bhv OF dff ISBEGIN PROCESS(_______) BEGIN IF CLK'EVENT AND CLK='1' THEN Q<=D; END IF; END PROCESS;END bhv;


A、CLK;
B、D;
C、Q;
D、dff

发布时间:2024-09-28 22:56:49
推荐参考答案 ( 由 搜搜题库网 官方老师解答 )
联系客服
答案:
搜搜题找答案
用户信息
没有账号?点我注册
登录 - 搜搜题库网
立即注册
注册 - 搜搜题库网
验证码
立即登录